Logic
3-Bit Priority Encoder
Description Output is the number of the number of the highest number bit of Input that is set HIGH (1). Input 7 has the highest priority.

Highest Priority (when ON) P7 > P6 > P5 > P4 > P3 > P2 > P1 Lowest Priority

0 ≤ Output ≤ 7
Availability
  • Available only in the dw2xx & dw2xx-v2 series products
  • Requires library: Process Control (option -05)
  • Firmware versions 0x201C and later
savvy-SFD Graphic 3bitPriorityEnc
Graphic with Parameters 3bitPriorityEnc

Parameters

Input 1 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Input 2 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Input 3 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Input 4 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Input 5 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Input 6 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Input 7 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Output Output, Read-only, Analog (signed 16-bit integer)
0 to 7