Logic
2-Bit Priority Encoder
Description Output is the number of the highest number bit of Input that is set HIGH (1). Input 3 has the highest priority.

Highest Priority (when ON) P3 > P2 > P1 Lowest Priority

0 ≤ Output ≤ 3
Availability
  • Available only in the dw2xx & dw2xx-v2 series products
  • Requires library: Process Control (option -05)
  • Firmware versions 0x201C and later
savvy-SFD Graphic 2bitPriorityEnc
Graphic with Parameters 2bitPriorityEnc

Parameters

Input 1 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Input 2 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Input 3 Input, Read-write, Boolean (signed 16-bit integer)
0 = Low
1 = High
Output Output, Read-only, Analog (signed 16-bit integer)
0 to 3